jasminecorp.net directory
Updated Blogs
Computer Support Blog
Essensial Software for Web Based Support
HP Server and Desktop
HP ILO - Remote Tech-Support Software
More .....



 
 

| Add Search | Advertise With JCSearch | Suggest a Site

Search the Web


Search Results

Semiconductor Processing
Directory > Business > Electronics and Electrical > Production Equipment and Materials > Tools and Equipment > Semiconductor Processing

Categories
 
Engineering (1) Used Equipment (51) Wholesale and Distribution (5)
Product Handling (27)

Web Sitesi

Fast Gate Corporation
Designs and sells semiconductor manufacturing machines, and sells used equipment. Based in Japan.
In Japanese and English.

http://www.fastgate.co.jp/english/index.html
Reviews Rating: Not yet Rated Whois Check

Planar Concern
Research and manufacturing of semiconductor equipment including photolithography machines,
photomasks, mask aligners, dicing saws, and die bonders.

http://www.planar.by/
Reviews Rating: Not yet Rated Whois Check

L. V. Service Enterprise
Sells and services mask aligners and other new and used semiconductor processing machines. San
Jose, California.

http://www.lvservice.com/
Reviews Rating: Not yet Rated Whois Check

Mercatron International ltd
Manufacturers tungsten filaments for vacuum metallising.
http://www.mercatron.co.uk
Reviews Rating: Not yet Rated Whois Check

SLScott Services, Inc.
Semiconductor process equipment service and training: ASM PECVD, PRI, diffusion, LPCVD, process,
particle control.

http://members.aol.com/ss144/ScottHomePages/SLScott.html
Reviews Rating: Not yet Rated Whois Check

TechStar Innovations
A semiconductor wire bonding specialist dealing with upgrading kits for improving the bonding
performance of wire bonders. From Singapore.

http://www.techstar-i.com/
Reviews Rating: Not yet Rated Whois Check

Advanced Materials Engineering Research, Inc.
An independent materials characterization laboratory offering analytical services for the
technological and semiconductor community.

http://www.amerinc.com
Reviews Rating: Not yet Rated Whois Check

TSEL Partners LLC
Sells and leases new and used wafer fab, automatic test equipment (ATE), and SMT equipment.
Searchable list of available equipment.

http://www.tselpartners.com/
Reviews Rating: Not yet Rated Whois Check

Genmark Automation
Designs, develops and manufactures robotics, motion control and integrated tool automation systems
for semiconductor, data storage, and flat panel displays.

http://www.genmarkautomation.com/
Reviews Rating: Not yet Rated Whois Check

Sel-Tek Limited.
Specialist suppliers of chemical pumps, d. I water heaters, hall effect measurement systems, manual
and automated probe stations, rf test equipment, probe tips, micropositioners and filtration
products to the semiconductor equipment industry.

http://www.sel-tek.co.uk
Reviews Rating: Not yet Rated Whois Check

Disco Corporation
Manufacturer of precision dicing saws and grinding wheels providing dicing, grinding, and
polishing equipment and services for semiconductor and electronic components.

http://www.disco.co.jp/
Reviews Rating: Not yet Rated Whois Check

Harmbridge Ltd
Manufacturers and suppliers of new and refurbished equipment and spare parts for semiconductor
manufacturing and test. Wafer probing systems and dicing saws a speciality.

http://www.harmbridge.co.uk
Reviews Rating: Not yet Rated Whois Check

PVA TePla AG
Providers of microwave plasma tools for ashing, resist strip, descum, polyimide and paralyne
removal, surface cleaning and isotropic etch processing for semiconductor wafers, flat panel
displays , mems and optoelectronics.

http://www.pvatepla.com/
Reviews Rating: Not yet Rated Whois Check

Accretech Israel Ltd.
Specialized in the field of image processing, machine control and algorithm development for
inspection tools for wafer inspection and mask inspection tools.

http://www.accretech.co.il
Reviews Rating: Not yet Rated Whois Check

Datacon Semiconductor Equipment Gmbh
Datacon is concentrating on advanced packaging solutions. A specialist for die bonding, die attach,
flip chip.

http://www.datacon.at
Reviews Rating: Not yet Rated Whois Check

Horizontek Semiconductor Services
Sales of Kasper and Quintel mask aligners, spare parts, product design and services.
http://www.horizonteksemi.com/
Reviews Rating: Not yet Rated Whois Check

Mission Technology Inc.
Manufactures new and used SVG style 81xx, 86xx and 88xx series track system. Complete line of spare
parts available.

http://www.mission-tech.com
Reviews Rating: Not yet Rated Whois Check

Total Fab Solutions, Inc
Offers contract manufacturing and equipment for the semiconductor industry.
http://totalfabsolutions.com
Reviews Rating: Not yet Rated Whois Check

Aspect Semiquip International
Provides installation, repair andpreventive maintenance of semiconductor equipment, turnkey tool
hook-ups, and all the needed facility components to support wafer operations.

http://www.asiinc.com
Reviews Rating: Not yet Rated Whois Check

JWN & Assoc, Inc
Deal in semiconductor equipment, sales, service and leasing and carry most Hughes/Palomar models.
http://jwn-assoc.com/
Reviews Rating: Not yet Rated Whois Check

PSK Tech, Inc.
Manufacturer of semiconductor and LCD production and process equipment including ashers and dry
etchers.

http://www.psktech.com/
Reviews Rating: Not yet Rated Whois Check

Op-Test Corporation
Designs and develops production and engineering test systems for photonic semiconductor devices.
http://www.op-test.com/
Reviews Rating: Not yet Rated Whois Check

Xenon Corporation
Manufactures pulsed UV systems for sterilization and curing of DVDs, semiconductors, fiberoptics,
medical devices and electronics, featuring low heat, instant on/off and deep penetration. Also
flashlamps and tall tower lamps

http://www.xenoncorp.com
Reviews Rating: Not yet Rated Whois Check

SELA
Automated manufacturing, inspection and analysis equipment for the semiconductor and optical
components industries.

http://www.sela.com
Reviews Rating: Not yet Rated Whois Check

Pacific Infrared
Design of optical and laser systems for electronic prepress and semiconductor lithography
applications. Located in California.

http://pacificinfrared.com
Reviews Rating: Not yet Rated Whois Check

Excelerate Technologies
Offers SECS/GEM and yield management software for the semiconductor and electronics assembly and
packaging industries.

http://www.exceleratetech.net
Reviews Rating: Not yet Rated Whois Check

Swiftaim Technology Ltd.
Manufacturers an upgrade controller for production sputtering systems.
http://www.swiftaim.bchip.co.uk
Reviews Rating: Not yet Rated Whois Check

Maludai Technology Corp.
Manufacturers embossed carrier tape, heat sealing type cover tape, plastic reel and taping machine
for 12mm to 56mm carrier tape.

http://www.maludai.com.tw
Reviews Rating: Not yet Rated Whois Check

Mill Lane Engineering Co., Inc.
Manufacturer of PVD systems using sputtering, electron beam, and resistance evaporation for both
R&D and production facilities.

http://mill-lane.com
Reviews Rating: Not yet Rated Whois Check

Transoptics, Inc.
Manufactures portable film thickness measurement systems that measure all transparent and
semitransparent films on silicon and glass substrates.

http://www.transoptic.com
Reviews Rating: Not yet Rated Whois Check

NPTest, Inc.,
Provides design, validation and test products and services.
http://www.nptest.com
Reviews Rating: Not yet Rated Whois Check

Hitachi High-Technologies Canada, Inc.
Collaboration microscopy and semiconductor workflow solutions. Serving the scientific, industrial
and semiconductor manufacturing community.

http://www.hhtc.ca
Reviews Rating: Not yet Rated Whois Check

Willkommen zu DEK GmbH
Manufacturing solutions for the electronics circuit board assembly and semiconductor industries.
http://www.dek.com/gmbh.nsf
Reviews Rating: Not yet Rated Whois Check

Heidelberg Instruments
Manufactures direct write laser lithography systems. Applications include photomask, MEMS, BioMEMS,
grey exposure and exposure through thick resist.

http://himt.de
Reviews Rating: Not yet Rated Whois Check

SperryTek
Provides service and parts supply for dicing saws and manual bonders.
http://www.sperrytek.com
Reviews Rating: Not yet Rated Whois Check

Excelteq Incorporated
Manufactures wafer spin processors (track systems) and supports Silicon Valley Group (SVG) and
Eaton track products.

http://www.excelteq.com
Reviews Rating: Not yet Rated Whois Check

iCADA GmbH.
Integration of reticle stockers and inspection systems of all brands, and control of reticle
shelves.

http://www.icada.com/
Reviews Rating: Not yet Rated Whois Check

Advanced Temperature Test Systems (ATT) GmbH
Offer a range of temperature control solutions (thermal wafer chuck) for the semiconductor
industry.

http://www.att-systems.com
Reviews Rating: Not yet Rated Whois Check

SEMI Resource
Offers semiconductor manufacturing equipment, testing and packaging equipment, robotics, offshore
automation systems, and cryogenic equipment.

http://www.semiresource.com
Reviews Rating: Not yet Rated Whois Check

American Probe & Technologies, Inc.
Offers accessories for analytical and production probing applications.
http://www.americanprobe.com
Reviews Rating: Not yet Rated Whois Check

Torr International, Inc.
Thin film deposition and etching systems.
http://www.torr.com
Reviews Rating: Not yet Rated Whois Check

APC Technologies
A precision electroplating business specializing in electronic and semiconductor products.
http://members.tripod.com/apctech0/
Reviews Rating: Not yet Rated Whois Check

Branchy Technology Co., Ltd
Manufacture of thin-film process equipments for semiconductor, optoelectronic, and communication
devices. The major products are physical vapor deposition systems such as thermal coater, e-beam
evaporator, sputtering system and plasma-series equipments.

Http://www.bcv.com.tw
Reviews Rating: Not yet Rated Whois Check

Baccini Spa
Production of microelectronic application machines and manufacturing equipment.
http://www.baccini.com/
Reviews Rating: Not yet Rated Whois Check

ASM Lithography Holding N.V.
Provides microlithography systems to the semiconductor industry in markets around the world.
http://www.asml.com/
Reviews Rating: Not yet Rated Whois Check

Virginia Technologies, Inc. (VTI)
Manufactures optical based meters for measuring silicon based micro electro mechanical systems
(MEMS) device thickness. Company profile and product technology description.

http://www.vatechnologies.com/
Reviews Rating: Not yet Rated Whois Check

Sierra Applied Sciences Inc.
Designs and manufactures magnetron cathodes for sputtering of thick, thin, bonded, ceramic, metal,
and magnetic and non-magnetic targets. Product overviews and applications.

http://www.sierraapplied.com/
Reviews Rating: Not yet Rated Whois Check

Probe 2000
Manufacturer of probe cards for semiconductor manufacturing and test.
http://www.probe2000.net/
Reviews Rating: Not yet Rated Whois Check

Ventex Corporation
Provides sales, service and spare parts for Canon photolithography equipment.
http://www.ventexcorp.com/
Reviews Rating: Not yet Rated Whois Check

CHA Industries
Manufactures evaporation and sputtering high vacuum deposition systems, LED optical systems and
electron beam guns. Product specifications and recommended applications, plus company contact
information.

http://www.chaindustries.com/
Reviews Rating: Not yet Rated Whois Check

Wordentec Limited
Supplier of vacuum coating, vacuum ovens, wafer and substrate handling, plasma generators, and
other equipment for the thin film industry. Devon, UK.

http://www.wordentec.com/
Reviews Rating: Not yet Rated Whois Check

Magnetic Solutions Limited
Manufacturer of magnetic annealing systems used in processing disk drive read/write heads and in
processing magnetoresistive memory (MRAM). Site includes product specifications, with brochures
and schematics in PDF.

http://www.magnetic-solutions.com/
Reviews Rating: Not yet Rated Whois Check

Diamond Touch Technology
Engineers and manufactures dicing saws. Site includes product photos and specifications, and lists
of current customers and authorized sales agents.

http://www.diamondtouch.com
Reviews Rating: Not yet Rated Whois Check

Frontier Semiconductor Inc.
Manufacturer of equipment for measuring deposited thin film flatness and stress in wafers and
characterizing wafer adhesion materials. Site includes product descriptions and a description of
how wafer stress is measured.

http://www.frontiersemi.com/
Reviews Rating: Not yet Rated Whois Check

Advanced Thermal Sciences
Manufacturer of standard and custom small footprint chillers and heat exchangers for coolant
temperature control during semiconductor fabrication. Site lists product photos and descriptions.

http://www.advancedthermalsciences.com/
Reviews Rating: Not yet Rated Whois Check

Electronic Micro Systems Ltd
Manufacturers of hot plates and photo resist spinners. Site includes product data sheets and user
manuals.

http://www.emsl.fsnet.co.uk/
Reviews Rating: Not yet Rated Whois Check

Advanced Technologies, Inc.
Manufactures process equipment for semiconductor and flat panel display applications, including
plasma and ion etchers, and magnetron sputtering equipment. Site has product photos and
descriptions.

http://www.adv-technologies.com/
Reviews Rating: Not yet Rated Whois Check

Credence Systems Corporation
Manufacture automatic test equipment (ATE) for analog, digital, memory, mixed-signal and wireless
semiconductor devices. Online product portfolio and company news.

http://www.credence.com/
Reviews Rating: Not yet Rated Whois Check

Tiros Corporation
Manufactures automated thermal curing systems including robotic multi-chamber systems. Site
describes products and includes streaming video overview of system.

http://www.tiros.com/
Reviews Rating: Not yet Rated Whois Check

Haiku Tech
Manufacturer and distributor of multilayer ceramic component manufacturing equipment. Site includes
technical overview of wet stacking techniques for making inductors.

http://www.haikutech.com
Reviews Rating: Not yet Rated Whois Check

Genesis Development
Manufacturer of photolithographic and vapor treatment equipment for semiconductor wafers. Site
includes product brochures in PDF.

http://www.gensi.com
Reviews Rating: Not yet Rated Whois Check

ASYS Automatic Systems GmbH
Supplier of handling equipment for wafers, substrates, and MEMS in controlled environments.
Germany. Site lists product photos and specifications in PDF format.

http://www.asys-micro.com
Reviews Rating: Not yet Rated Whois Check

Surface Technology Systems plc (STS)
Manufacturer of plasma etch and deposition equipment for micro electromechanical systems (MEMS),
photonics, wireless and data storage semiconductor applications.

http://www.stsystems.com
Reviews Rating: Not yet Rated Whois Check

Shinhan Precision Co. Ltd.
Manufactures lead frame stamping tool sets. Korea. Site in English and Korean.
http://www.shprecision.co.kr/
Reviews Rating: Not yet Rated Whois Check

Tesec Corporation
Manufacturer of machines for automated test, laser marking, and sorting of ICs and discrete
components, plus machines for semiconductor testing before singulation during IC manufacturing.
Site includes product photos and specifications.

http://www.tesec.com.sg/
Reviews Rating: Not yet Rated Whois Check

GaLa Instrumente GmbH
Laboratory plasma instrument for plasma cleaning, plasma etching, plasma ashing, plasma surface
modification, polymer analysis. Made in Germany

http://www.plasmainstrument.com/
Reviews Rating: Not yet Rated Whois Check

Microtool
Offers products and services for tool alignment and wafer handling.
http://www.microtool.net
Reviews Rating: Not yet Rated Whois Check

Spectel Research Corporation
Develops and makes semiconductor metrology products.
http://www.spectelresearch.com/
Reviews Rating: Not yet Rated Whois Check

Micro-Mechanics
Manufactures a range of tooling, precision parts, and consumable materials for the semiconductor,
fiber-optic, and micro-electronic industries.

http://www.micro-mechanics.com/
Reviews Rating: Not yet Rated Whois Check

IC-MEMS, Inc.
Provides MEMS (Microelectromechanical Systems)-related products.
http://www.ic-mems.com/eng_home.html
Reviews Rating: Not yet Rated Whois Check

Laurell Technologies Corporation
Manufacturers of spin coaters, spin etchers, spin dryers, wet etch stations and other processing
equipment for the semiconductor industry.

http://www.laurell.com/
Reviews Rating: Not yet Rated Whois Check

MAT-VAC Technology,Inc.
Supplier of high purity materials (sputter targets, evaporation sources) for thin film deposition;
remanufactured sputtering and evaporation equipment: replacement parts; sputtering accessories
including sputtering cathode.

http://www.mat-vac.com/
Reviews Rating: Not yet Rated Whois Check

Advanced Systems Automation Ltd
Leaders in packaging technology and integration of semiconductor manufacturing equipment.
http://www.asa.eu.com/
Reviews Rating: Not yet Rated Whois Check

King Yuan Electronics Co.
A service provider in mixed, logic and memory testing and assembly, providing turnkey solutions
that include wafer sort, packaging, testing, burn-in and drop ship. From Taiwan.

http://www.kyec.com.tw/
Reviews Rating: Not yet Rated Whois Check

Quintel Corporation
Manufacturer of contact photolithography mask alignment equipment for production as well as
research and development. Wafer sizes up to 8" with custom tooling available.

http://www.quintelcorp.com/
Reviews Rating: Not yet Rated Whois Check

Angstron Systems, Inc.
Information on products, employment opportunities, contact information, and Angstron Systems.
http://www.angstron.com
Reviews Rating: Not yet Rated Whois Check

FSIInternational, Inc.
Supplier of processing equipment used to manufacture microelectronics, including semiconductor
integrated circuits and thin film heads for the computer hard drive industry. Develop, manufacture,
market and support products used in the technology areas of surface conditioning, microlithography
and spin-on dielectrics.

http://www.fsi-intl.com/
Reviews Rating: Not yet Rated Whois Check

August Technology Corp.
Micro defect inspection equipment for wafers and die.
http://www.augusttech.com/
Reviews Rating: Not yet Rated Whois Check

Epigress AB
Manufactures equipment for epitataxial (CVD) and bulk (sublimation).
http://www.epigress.com/
Reviews Rating: Not yet Rated Whois Check

Foothill Instruments, LLC
Manufacturer of film thickness metrology equipment for semiconductor and related industries.
http://www.foothill-instruments.com
Reviews Rating: Not yet Rated Whois Check

Suss Microtec
Manufacturer of mask aligners, bonders, flip chip bonders, spin coaters and probe systems for the
mems, advanced packaging for the semiconductor markets.

http://www.suss.com/
Reviews Rating: Not yet Rated Whois Check

EUV Technology
Manufactures custom R&D instrumentation for the utilization and analysis of short wavelength
electromagnetic radiation - soft x-rays and extreme ultraviolet (EUV).

http://euvl.com/
Reviews Rating: Not yet Rated Whois Check

KDF
KDF produces physical vapor deposition in-line batch systems used in the production of
semiconductors, telecommunications and wireless networks, sensors, opto-electronics, flat panel
displays and radio frequency power devices.

http://www.kdf.com
Reviews Rating: Not yet Rated Whois Check

IPS Tech
Manufacturer of ALD (Atomic Layer Deposition) systems, dry etchers, and sputtering systems for
semiconductor processing.

http://www.ips-tech.com/
Reviews Rating: Not yet Rated Whois Check

ATTO Co.,Ltd
Gas cabinet, gas source manifold, nano gas purifier, on-line gas purifiers, gas management systems
and total gas solutions for semiconductor and LCD manufacturing process.

http://www.atto.co.kr/
Reviews Rating: Not yet Rated Whois Check

Hand Ray Co., Ltd.
Manufacturer of vacuum three-axis robot arm, wafer, glass substrate, arm handler and transfer
robot. From Taiwan.

http://www.hand-ray.com.tw/
Reviews Rating: Not yet Rated Whois Check

Solitec Wafer Processing, Inc.
Processing equipment for the semiconductor industry.
http://www.solitec-wp.com/
Reviews Rating: Not yet Rated Whois Check

Kokusai Semiconductor Equipment Corporation
Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) furnaces as well as rapid
thermal processing (RTP) equipment.

http://www.ksec.com/
Reviews Rating: Not yet Rated Whois Check

Potentials Inc
Refurbishment, exchange, enhancement and new major components for ion implanters and accelerators.
http://www.ivenue.com/potentialsinc/
Reviews Rating: Not yet Rated Whois Check

Silicet AG
Etching technologies for microsystems: patented wafer holder / wafer handling tools / wet etching
benches

http://www.silicet.de
Reviews Rating: Not yet Rated Whois Check

Intellemetrics Ltd.
Vacuum deposition and etch measurement. Quartz crystal deposition monitors and controllers, plasma
etch interferometers, optical monitors for thin film deposition.

http://www.intellemetrics.co.uk/
Reviews Rating: Not yet Rated Whois Check

TSST
Thin film technology, especially for ceramic materials. Both, deposition equipment and thin film
products.

http://www.tsst.nl/
Reviews Rating: Not yet Rated Whois Check

ULTRA t Equipment
Provide cleaning systems for the semiconductor industry and microelectronics industries, meeting
the most stringent requirements for a broad range of substrates.

http://www.ultrat.com/
Reviews Rating: Not yet Rated Whois Check

Tek-Vac Industries, Inc.
Manufacturers of semiconductor and materials process equipment.
http://www.tekvac.com/
Reviews Rating: Not yet Rated Whois Check

Applied Materials
Supplier of semiconductor processing equipment.
http://www.appliedmaterials.com/
Reviews Rating: Not yet Rated Whois Check

SITE Services, Inc.
Designs and produces photolithography equipment.
http://www.site.com/
Reviews Rating: Not yet Rated Whois Check

Windush Technology Ltd
Vacuum handling tools for semiconductor processing and other application where precision, safety
and cleanliness are essential.

http://www.wandshop.com/
Reviews Rating: Not yet Rated Whois Check

GT Equipment Technologies Inc.
Manufacture of semi-custom and specialty equipment for the materials processing industries.
Supplier to the global semiconductor and photovoltaic industries.

http://www.gtequipment.com/
Reviews Rating: Not yet Rated Whois Check

GCL
Produce etch processing tanks, ultra violet exposure units and light boxes for industry and
photographers.

http://www.gcl-etchtanks.co.uk
Reviews Rating: Not yet Rated Whois Check

Lam Research
A supplier of wafer fabrication equipment.
http://www.lamrc.com/
Reviews Rating: Not yet Rated Whois Check

Busch Semiconductor Vacuum Group
Manufacturer and supplier of vacuum technology to the semiconductor and flat panel industry
http://www.buschsvg.com
Reviews Rating: Not yet Rated Whois Check

Jesagi Hankook Ltd.
Design and manufacture cutting tools, steel fiber, machine parts, down hole hammers.
http://www.jesagi.com/
Reviews Rating: Not yet Rated Whois Check

Jus Mechatronics Pte Ltd
Manufactures soldering robots for post smt and reflow components assembling. From Singapore.
http://www.jusmech.com/
Reviews Rating: Not yet Rated Whois Check

Rena Sondermaschinen GmbH
Supplies custom designed solutions and standard equipment for wet chemical processes in cleanrooms.
http://www.rena.com/
Reviews Rating: Not yet Rated Whois Check

Axcelis Technologies Home Page
Manufacturer of semiconductor processing equipment including ion implantion, thermal processing,
photostabilization, and photoresist dry strip equipment

http://www.axcelis.com/
Reviews Rating: Not yet Rated Whois Check

CoorsTek, Inc.
Supplies critical components and assemblies to the semiconductor capital equipment market,
including precision-machined metals, technical ceramics, and engineered plastics .

http://www.coorstek.com/
Reviews Rating: Not yet Rated Whois Check

Keko Equipment
Manufacturer of equipment for development of multilayer based components.
http://www.keko-equipment.com/
Reviews Rating: Not yet Rated Whois Check

X-FAB
Mixed signal foundry experts in semiconductors.
http://www.xfab.com/
Reviews Rating: Not yet Rated Whois Check

Epitaxial Technologies, LLC
Manufactures compound semiconductors and value-added wafer products for the rapidly expanding
wireless and optoelectronic industries.

http://www.epiwafers.com
Reviews Rating: Not yet Rated Whois Check

Adventa Control Technologies Inc.
Semiconductor manufacturing software supplier.
http://www.adventact.com/
Reviews Rating: Not yet Rated Whois Check

Abinition Inc
A suite of software products that integrate all the semiconductor manufacturing systems.
http://www.abinition.com/
Reviews Rating: Not yet Rated Whois Check

Donga Trading
Microscope video system, hyperscope system, laser optical measuring system, ball bar sensor system,
microscope.

http://www.sunhayato.co.kr
Reviews Rating: Not yet Rated Whois Check

EV Group
Manufacturer of semiconductor production equipment. Including ranges for resist processing, wafer
cleaning, wafer bonding and SOI bonding.

http://www.evgroup.com
Reviews Rating: Not yet Rated Whois Check

Amerimade Technology, Inc.
Manufacture a full line of fully automated semiconductor process equipment including automated and
plating stations.

http://www.amerimade.cc/
Reviews Rating: Not yet Rated Whois Check

Johnson Matthey
Produces products including palladium (pd) purifiers and getter and catalytic gas purifiers and
fuel processors.

http://www.pureguard.net/
Reviews Rating: Not yet Rated Whois Check

Semitool, Inc.
Manufacturer of metallization and interconnect, electrochemical deposition (ECD), wet cleaning and
etching, thermal diffusion, and auxiliary equipment for semiconductor manufacturing processes.

http://www.semitool.com
Reviews Rating: Not yet Rated Whois Check

Keystone Technologies, Inc.
A manufacturer of fully and semi automated wet benches, chemical supplies, and related equipment
for the semiconductor industry.

http://www.keystonetech.com/
Reviews Rating: Not yet Rated Whois Check

Nikon
Photo lithography systems for semiconductor and fpd processing
http://www.nikon.co.jp
Reviews Rating: Not yet Rated Whois Check

E3 Systems
Designs and fabricates process equipment for the semiconductor industry.
http://e3systems.net/
Reviews Rating: Not yet Rated Whois Check

RD Automation Flip Chip Die bonders
Manufacturer of flip chip die bonding equipment. Offers manual, semi-automatic to inline production
models. Common applications: FPA, MCM, FCOG, Eutectic bonding. Various options available.

http://www.rdautomation.com
Reviews Rating: Not yet Rated Whois Check

Aju Systems USA
Supplier of semiconductor test equipment and parts. Including laser marking and memory module
testing.

http://www.ajusystemsusa.com
Reviews Rating: Not yet Rated Whois Check

Cost Effective Equipment
Manufactures spin coaters, spinners, spincoaters, developers, hotplates and other semiconductor
wafer processing equipment. A division of Brewer Science Inc.

http://www.brewerscience.com/cee/
Reviews Rating: Not yet Rated Whois Check

Joosung Engineering Inc.
Chemical vapor deposition (CVD) equipment for semiconductor and LCD processing.
http://www.jseng.com/
Reviews Rating: Not yet Rated Whois Check

Hitachi America
Supplier of gas abatement systems, SIMOX implanters, HDP-CVD systems and plasma etch equipment for
metal, poly, trench, oxide and low-k applications.

http://www.Hitachi.com/semiequipment/
Reviews Rating: Not yet Rated Whois Check

Orbis Technologies Ltd
Providers of plasma coating, etching, ashing and metallization products. Applications include
semiconductor manufacturing.

http://www.orbitech.co.uk/index.html
Reviews Rating: Not yet Rated Whois Check

Greatek Technology Co., Ltd.
Designer and manufacturer of semiconductor process equipment.
http://www.greatek-tech.com.tw
Reviews Rating: Not yet Rated Whois Check

Visual Photonics Epitaxy Co., Ltd.
Manufacturer of MOCVD technology based EPI materials.
http://www.vpec.com.tw/
Reviews Rating: Not yet Rated Whois Check

Felcon Cleanrooms and Containment Ltd
Contamination controls including wet benches, cleanrooms, downflow, and laminar flow booths.
http://www.felcon.co.uk/
Reviews Rating: Not yet Rated Whois Check

Episil Technologies Inc.
Manufacturer of silicon epitaxial wafers foundry and provide buried layer epitaxial process
services.

http://www.episil.com/
Reviews Rating: Not yet Rated Whois Check

Varian Semiconductor Equipment Associates
Offer high current, medium current, high energy fab tools, including ion implanters.
http://www.vsea.com/
Reviews Rating: Not yet Rated Whois Check

Electro Mechanical Services
Supplier of technical products and services to laboratories and manufacturers in New Mexico.
http://www.emsi-usa.com/
Reviews Rating: Not yet Rated Whois Check

DNS Korea Co., Ltd.
Wet cleaning system, spinners, developers and other semiconductor equipment and FPD equipment.
http://www.kdns.co.kr/
Reviews Rating: Not yet Rated Whois Check

K.C.TECH Co., Ltd
Gas cabinet, wet stations. Flash site.
http://www.kctech.co.kr/
Reviews Rating: Not yet Rated Whois Check

Cascade Microtech
Manufacturer of probe stations and low current wafer probing solutions from probe cards to RF
microwave prober applications.

http://www.cmicro.com
Reviews Rating: Not yet Rated Whois Check

Brewer Science Limited
Manufacturers and suppliers of spin coaters, hotplates, wafer tracks, and anti-reflective coatings.
http://www.brewer-science.co.uk/
Reviews Rating: Not yet Rated Whois Check

Fine Semi Tech
Pellicles for photomask processing, chillers, pellicle mounters for semiconductor and FPD
processing.

http://www.fstc.co.kr/
Reviews Rating: Not yet Rated Whois Check

Genitech, Inc.
Genuine technology for semiconductor device makers, CMP and other semiconductor equipment.
http://www.genitech.co.kr/
Reviews Rating: Not yet Rated Whois Check

 


Jasminecorp.net directory is based on the Open Directory and is being modified by Jasminecorp.

©2004 Jasmine Computers Inc.

Click here to subscribe for Jasminecorp's product News.

Home | JCBid |Software Development | Domain Registration | Hosting | Web Designing | Buy Books | Advertise with JCSearch | Whois | IP Locator | Add Search | Shopping | Store | Free Blogs | Free GuestBook | Free E-Cards | Free Games | Free Tutorials | Set as Home | Add to Favorite | Suggest a Site | Directory | Our Portfolio | Terms of service | Free quote | Tell a Friend | Special Offer | Job Opportunities | games | Usenet Groups

Submit a Site to Jasminecorp.net Directory || Advertise with us

 

Help build the largest human-edited directory on the web.
Submit a Site - Open Directory Project - Become an Editor
Get a Domain Name:
.com .us .info
.org .in .name
.net .biz .asia